dvbbs
收藏本页
联系我们
论坛帮助
dvbbs

>> Fortran语言使用经验交流
搜一搜相关精彩主题 
Fortran中文网Fortran中文网—Fortran语言经验交流Fortran语言使用经验交流 → [求助] 是否可以整行或整列操作数组??

您是本帖的第 2962 个阅读者
树形 打印
标题:
[求助] 是否可以整行或整列操作数组??
gamit
帅哥哟,离线,有人找我吗?
等级:新手上路
文章:1
积分:222
门派:无门无派
注册:2008年7月10日
楼主
 用支付宝给gamit付款或购买其商品,支付宝交易免手续费、安全、快捷! 点击这里发送电子邮件给gamit

发贴心情
[求助] 是否可以整行或整列操作数组??
fortran中对数组的操作是否可以以某一行或某一列为单位进行操作??
比如有这样的问题:
有一维数组 arr1(1,n) 及 arr2(1,m)
  二维数组 matr(n,m)
那么如何将matr(n,m)中的某一行(如第i行)赋给arr2呢
以及如何将matr(n,m)中的某一列(如第j行)赋给arr1呢
如何解决以上问题,请大侠们不惜赐教! 谢谢
ip地址已设置保密
2008/7/15 16:48:17
aia741
帅哥哟,离线,有人找我吗?
等级:论坛游民
文章:11
积分:351
门派:无门无派
注册:2006年10月3日
2
 用支付宝给aia741付款或购买其商品,支付宝交易免手续费、安全、快捷! 点击这里发送电子邮件给aia741

发贴心情
用冒号可以表示数组的一维:arr2(i,:)=matr(i,:)arr2(:,i)=matr(:,i)
ip地址已设置保密
2008/10/12 19:03:21

 2   2   1/1页      1    
网上贸易 创造奇迹! 阿里巴巴 Alibaba
Powered By Dvbbs Version 7.1.0 Sp1
Copyright ©2005 - 2008 www.fortran.cn
页面执行时间 0.10938 秒, 5 次数据查询
京ICP备05056801号